nsgil85
Member level 4
- Joined
- Dec 11, 2012
- Messages
- 73
- Helped
- 1
- Reputation
- 2
- Reaction score
- 1
- Trophy points
- 1,288
- Activity points
- 1,833
Hello,
I have this package code:
and this code:
and i get from Quartus this message :
Error (10294): VHDL Type Declaration error at package_arrays.vhd(52): element type for array type cannot be unconstrained
why do i get this message? i wrote the range in the entity level
Thanks
Gil
I have this package code:
Code VHDL - [expand] 1 2 3 4 5 6 7 8 package package_arrays is type array_uns is array ( natural range <> ) of unsigned ; end package package_arrays ; package body package_arrays is end package body package_arrays ;
and this code:
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 library work ; use work.package_arrays.all ; entity s_disp is generic ( GENERIC_POS_CONF_ROWS : positive := 4 ; GENERIC_POS_CONF_DATA_WIDTH : positive := 8 ; ) ; port ( PORT_IN_UNS_ARRAY_DATA : in array_uns(0 to GENERIC_POS_CONF_ROWS -1)(GENERIC_POS_CONF_DATA_WIDTH -1 downto 0) ; PORT_OUT_UNS_DATA : out unsigned( GENERIC_POS_CONF_DATA_WIDTH -1 downto 0) ; G_RST : in std_logic ; G_CLK : in std_logic ) ; end entity s_disp; architecture rtl_s_disp of s_disp is begin end architecture rtl_s_disp;
and i get from Quartus this message :
Error (10294): VHDL Type Declaration error at package_arrays.vhd(52): element type for array type cannot be unconstrained
why do i get this message? i wrote the range in the entity level
Thanks
Gil