Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

4x4 multiplier using shift register

Status
Not open for further replies.

tusharkumar101

Newbie level 3
Newbie level 3
Joined
Apr 28, 2013
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,298
design 4x4 multiplier using half adder full adder and 8 bit shift register
 

@tusharkumar101:

Please show your code so far. I am sure we can answer specific questions after you have done your own work first.
 

design 4x4 multiplier using half adder full adder and 8 bit shift register

A3 A2 A1 A0
*
B3 B2 B1 B0
--------------------------------------------------
A3&B0 A2&B0 A1&B0 A0&B0
+
A3&B1 A2&B1 A1&B1 A0&B1
+
A3&B2 A2&B2 A1&B2 A0&B2
+
A3&B3 A2&B3 A1&B3 A0&B3
-------------------------
C7 C6 C5 C4 C3 C2 C1 C0

so in abstract form :

for i in 0 to 3 loop
wait for rising_edge(clk);
C <= C + (A AND {B(i),B(i),B(i),B(i)} ) sll i); --shift register is used to shift AB(i)...--adder to add c+ab.
end loop;
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top