Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

4kb 4-ways set associative Cache Design

Status
Not open for further replies.

subhrojyotisarkar

Newbie level 4
Joined
Feb 24, 2010
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,316
Hi,
Are arrays in verilog synthesizable? If yes, then how? Currently I made a long one dimensional vector of regs with a total length of 32768. And the addressing is a bit complex. Also, the RTL Compiler crashes while elaborating my design. It is not showing any error and starts elaborating. But after starting to map the ports, it suddenly shows an message "Insufficient memory. Total memory usage 233140kb. Exiting abnormally." and the program exits. How to get out of it?
Please help me out.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top