4-bit counter code in VHDL

Status
Not open for further replies.

cooldonegalman

Newbie level 3
Joined
Sep 23, 2009
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
ireland
Activity points
1,304
Have to do a 4-bit counter code in VHDL.
It hads a 4 line input (A)
a 10Hz CLK input
a load input which is asynchronous
a UP/Down (Down is Not down) and is synchronous
a Reset input which is asynchronous
a 2 line setect input line (x)
a 2 line setect input line
a 4 line output (count)
a one line output called (xeq Y)

Does anyone know the code . Any infomation that will help would be great.
Thank You[/u]
 

Check the digital logic book of Brown and Vranesic book.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…