Richard29
Member level 1
Hi,
I am trying to run synthesis on the following simple code fragement, where I wanna
assign the output signal "prdata" on the rising edge of the clock:
ERROR:Xst:827 - Signal prdata cannot be synthesized, bad synchronous description. The description style you are using to describe a synchronous element (register, memory, etc.) is not supported in the current software release.
Anyone an idea why XST does not like this description? It works fine for simulation...
I am trying to run synthesis on the following simple code fragement, where I wanna
assign the output signal "prdata" on the rising edge of the clock:
Code:
entity ipic_if is
port (
....
prdata : out std_logic_vector(0 to 31);
....
);
.....
READ_REGISTER_PROCESS : process(clk)
begin
if (clk'event and clk = '1') then
if reset = '1' then
prdata <= (others => '0');
end if;
else
case paddr(8 downto 2) is
when "1000001" => prdata <= Dout;
when "1000011" => prdata <= (Z(0 to 26) & test(0 to 4));
...
when others => prdata <= (others => '0');
end case;
end if;
end process READ_REGISTER_PROCESS;
ERROR:Xst:827 - Signal prdata cannot be synthesized, bad synchronous description. The description style you are using to describe a synchronous element (register, memory, etc.) is not supported in the current software release.
Anyone an idea why XST does not like this description? It works fine for simulation...