Hyro
Newbie level 4
Having some real problems with some port mapping I know its probably something really easy, But I'm new to VHDL so I can't figure it out, would really appreciate the help.
__________________________________________________________________
Code:
entity MultiplexerX6 is
Port ( DataStreams : in std_logic_vector(195 downto 0);
SelectLine : in std_logic_vector(11 downto 0);
ABCDEF: out std_logic_vector(5 downto 0));
end MultiplexerX6;
architecture Structural of MultiplexerX6 is Error here
component Multiplexer32to8 is
Port ( DataStream : in STD_LOGIC_VECTOR(31 DOWNTO 0);
SelectLine : in STD_LOGIC_VECTOR(1 DOWNTO 0);
ABCDOut : out STD_LOGIC_VECTOR(7 DOWNTO 0));
end component;
signal A_temp, B_temp, C_temp, D_temp, E_temp, F_temp : std_logic_vector(7 downto 0);
signal OutA_temp, OutB_temp, OutC_temp, OutD_temp, OutE_temp, OutF_temp : std_logic_vector(7 downto 0);
begin
A_temp <= DataStreams (31 downto 0);
B_temp <= DataStreams (64 downto 32);
C_temp <= DataStreams (97 downto 65);
D_temp <= DataStreams (130 downto 98 );
E_temp <= DataStreams (163 downto 131);
F_temp <= DataStreams (196 downto 164);
B1: Multiplexer32to8 port map(A_temp, SelectLine(1 DOWNTO 0), OutA_temp);
B2: Multiplexer32to8 port map(B_temp, SelectLine(3 DOWNTO 2), OutB_temp);
B3: Multiplexer32to8 port map(C_temp, SelectLine(5 DOWNTO 4), OutC_temp);
B4: Multiplexer32to8 port map(D_temp, SelectLine(7 DOWNTO 6), OutD_temp);
B5: Multiplexer32to8 port map(E_temp, SelectLine(9 DOWNTO 8 ), OutE_temp);
B6: Multiplexer32to8 port map(F_temp, SelectLine(11 DOWNTO 10), OutF_temp);
ABCDEF(0) <= OutA_temp; Error here
ABCDEF(1) <= OutB_temp; Error here
ABCDEF(2) <= OutC_temp; Error here
ABCDEF(3) <= OutD_temp; Error here
ABCDEF(4) <= OutE_temp; Error here
ABCDEF(5) <= OutF_temp; Error here
end Structural;
__________________________________________________________________
ErrorsMarked In Red)
HDLCompiler:841 Line 65. Type error near outa_temp ; expected type std_ulogic
HDLCompiler:841 Line 66. Type error near outb_temp ; expected type std_ulogic
HDLCompiler:841 Line 67. Type error near outc_temp ; expected type std_ulogic
HDLCompiler:841 Line 68. Type error near outd_temp ; expected type std_ulogic
HDLCompiler:841 Line 69. Type error near oute_temp ; expected type std_ulogic
HDLCompiler:841 Line 70. Type error near outf_temp ; expected type std_ulogic
HDLCompiler:854 Line 36. Unit structural ignored due to previous errors
VHDL file MultiplexerX6.vhd ignored due to errors
__________________________________________________________________
I would be really greatful if anyone could help. Thanks
__________________________________________________________________
Code:
entity MultiplexerX6 is
Port ( DataStreams : in std_logic_vector(195 downto 0);
SelectLine : in std_logic_vector(11 downto 0);
ABCDEF: out std_logic_vector(5 downto 0));
end MultiplexerX6;
architecture Structural of MultiplexerX6 is Error here
component Multiplexer32to8 is
Port ( DataStream : in STD_LOGIC_VECTOR(31 DOWNTO 0);
SelectLine : in STD_LOGIC_VECTOR(1 DOWNTO 0);
ABCDOut : out STD_LOGIC_VECTOR(7 DOWNTO 0));
end component;
signal A_temp, B_temp, C_temp, D_temp, E_temp, F_temp : std_logic_vector(7 downto 0);
signal OutA_temp, OutB_temp, OutC_temp, OutD_temp, OutE_temp, OutF_temp : std_logic_vector(7 downto 0);
begin
A_temp <= DataStreams (31 downto 0);
B_temp <= DataStreams (64 downto 32);
C_temp <= DataStreams (97 downto 65);
D_temp <= DataStreams (130 downto 98 );
E_temp <= DataStreams (163 downto 131);
F_temp <= DataStreams (196 downto 164);
B1: Multiplexer32to8 port map(A_temp, SelectLine(1 DOWNTO 0), OutA_temp);
B2: Multiplexer32to8 port map(B_temp, SelectLine(3 DOWNTO 2), OutB_temp);
B3: Multiplexer32to8 port map(C_temp, SelectLine(5 DOWNTO 4), OutC_temp);
B4: Multiplexer32to8 port map(D_temp, SelectLine(7 DOWNTO 6), OutD_temp);
B5: Multiplexer32to8 port map(E_temp, SelectLine(9 DOWNTO 8 ), OutE_temp);
B6: Multiplexer32to8 port map(F_temp, SelectLine(11 DOWNTO 10), OutF_temp);
ABCDEF(0) <= OutA_temp; Error here
ABCDEF(1) <= OutB_temp; Error here
ABCDEF(2) <= OutC_temp; Error here
ABCDEF(3) <= OutD_temp; Error here
ABCDEF(4) <= OutE_temp; Error here
ABCDEF(5) <= OutF_temp; Error here
end Structural;
__________________________________________________________________
ErrorsMarked In Red)
HDLCompiler:841 Line 65. Type error near outa_temp ; expected type std_ulogic
HDLCompiler:841 Line 66. Type error near outb_temp ; expected type std_ulogic
HDLCompiler:841 Line 67. Type error near outc_temp ; expected type std_ulogic
HDLCompiler:841 Line 68. Type error near outd_temp ; expected type std_ulogic
HDLCompiler:841 Line 69. Type error near oute_temp ; expected type std_ulogic
HDLCompiler:841 Line 70. Type error near outf_temp ; expected type std_ulogic
HDLCompiler:854 Line 36. Unit structural ignored due to previous errors
VHDL file MultiplexerX6.vhd ignored due to errors
__________________________________________________________________
I would be really greatful if anyone could help. Thanks