Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Seed in system verilog

Status
Not open for further replies.

pkamboj_11

Newbie level 2
Newbie level 2
Joined
Jan 4, 2008
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,292
I am writing a random test with seed variable in system verilog.I want to run the same test with different seed so i want my sequence to be nondeterministic by seeding the $urandom function with an extrinsic random variable, such as the time of day. How can i know time of day in stytem verilog.
 

Good question. I don't know of one.

Perhaps you can generate the seed with a shell script, save it to a file, then read it in using $fread. Alternatively you could create your own PLI library that implements it.

Strange ommision if it doesn't exist.
 
  • Like
Reactions: jdshah

    jdshah

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top