Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Is it possible to access to the signals of lower level modules from the top module?

Status
Not open for further replies.

ehsan_iut

Junior Member level 1
Junior Member level 1
Joined
Jun 22, 2007
Messages
17
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Singapore
Activity points
1,395
Hi folks,
Is it possible to access to the signals of lower level modules from the top module? I think it would be very useful in writing test benches. Or we should bring those signals to the test bench by means of ports? Tnx.
 

Re: VHDL enquirey

VHDL does NOT provide a method by which you can access signals down in hierarchy at top level or at any other level. However there are ways to do so
1). Declare signals in a package instead of in a entity/architecture. Then compile this package, and make it visible in modules deep inside the hierarchy and in the modules you would like to access the same signal, say in test bench. Then you can access the signal at both places
2). If you are using modelsim, you can use something called 'signal spy' Which will make your signals visible at anylevel, no matter how deep in hierarchy they are.
Example:
Code:
init_signal_spy("/top/uut/inst1/sig1","/top/top_sig1",1,1);
Hope it hepls
Kr,
Avi
 

    ehsan_iut

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top