jordi
Newbie level 3
no feasible entries for infix operator +.
hi,
i have some problems with my vhdl program i have to make for school..
there are some errors that i can't get rit of..
mayby someone here kan help me with this..
most text is in dutch.. but i'll hope you understand..
if not ask
these are the errors i get.. i marked the error lines..
i have added the code in an attachement
thanks!!
hi,
i have some problems with my vhdl program i have to make for school..
there are some errors that i can't get rit of..
mayby someone here kan help me with this..
most text is in dutch.. but i'll hope you understand..
if not ask
these are the errors i get.. i marked the error lines..
Code:
# ** Error: /project/elevator.vhdl(18): near ")": expecting: IDENTIFIER
# ** Error: /project/elevator.vhdl(118): near "(": expecting: IDENTIFIER
# ** Error: /project/elevator.vhdl(118): near "'": syntax error
# ** Error: /project/elevator.vhdl(122): near ";": expecting: GENERATE THEN
# ** Error: /project/elevator.vhdl(125): near "(": expecting: IDENTIFIER
# ** Error: /project/elevator.vhdl(125): near "'": syntax error
Code:
library IEEE;
use IEEE.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity elevator_car is
PORT(
reset : in std_logic; --voor het initaliseren van de schakeling (niveau van de liftkooi=0)
stop : in std_logic; --de noodstop in de liftkooi
niveau_aanvraag : in std_logic_vector(2 downto 0); --niveau van de nieuwe passagier
niveau_bestemming : in std_logic_vector(2 downto 0); --requested floor from passenger inside elevator
--beweging_lift : out std_logic_vector(2 downto 0); --geeft weer of lift naar boven, onder of stillstaat
move_up : out std_logic;
move_down : out std_logic;
sensor_deur : in std_logic_vector(2 downto 0); --sensor die weergeeft of de lift open of toe is
beweging_deur : out std_logic_vector(2 downto 0); --geeft weer of de deuren open of toe gaan.
locatie_lift : in std_logic_vector(2 downto 0); --weergave van de locatie
clk : in std_logic_vector(2 downto 0); --lift clock signaal
[color=red]);[/color]
end elevator_car;
architecture behav of elevator_car is
signal A : std_logic;
signal registerinfo : std_logic_vector(2 downto 0);
signal B : integer range 0 to 1;
signal niveau_aanvraag2 : std_logic_vector(2 downto 0);
signal i : integer range 0 to 200;
signal niveaubestemming : std_logic_vector(2 downto 0);
begin
process(clk, locatie_lift, niveau_aanvraag, niveau_bestemming)--stijgen
begin
niveaubestemming <= niveau_bestemming;
if rising_edge(clk) then
if (niveau_aanvraag > locatie_lift) then
move_up <= '1';
move_down <= '0';
if (niveau_aanvraag2 /= niveaubestemming) then
registerinfo <= niveaubestemming; --maak register (3downto0)
niveaubestemming <= niveau_aanvraag2;
elsif (niveau_aanvraag2 = niveaubestemming) then --tussen oproepen
move_up <= '1';
move_down <= '0';
end if;
if (locatie_lift /= registerinfo) then
move_up <= '1';
move_down <= '0';
elsif (locatie_lift = registerinfo) then
move_up <= '0';
move_down <= '0';
end if;
elsif (niveau_aanvraag < locatie_lift) then
move_down <= '1';
move_up <= '0';
if (niveau_aanvraag2 /= niveaubestemming) then
registerinfo <= niveaubestemming;--maak register (3downto0)
niveaubestemming <= niveau_aanvraag2;
elsif (niveau_aanvraag2 = niveaubestemming) then --tussen oproepen
move_up <= '0';
move_down <= '1';
end if;
if (locatie_lift /= registerinfo) then
move_up <= '0';
move_down <= '1';
elsif (locatie_lift = registerinfo) then
move_up <= '0';
move_down <= '0';
end if;
elsif (niveau_aanvraag = locatie_lift) then
move_up <= '0';
move_down <= '0';
end if;
end if;
end process;
process(clk, reset)
begin
if rising_edge(clk) then
if (reset = '1') then
move_up <= '0';
move_down <= '0';
end if;
end if;
end process;
process(clk, locatie_lift)
begin
if rising_edge(clk) then
if (locatie_lift = "00") then
move_down <= '0';
elsif (locatie_lift = "11") then
move_up <= '0';
end if;
end if;
end process;
process(clk, stop)
begin
if rising_edge(clk) then
if (stop = '1') then
move_up <= '0';
move_down <= '0';
end if;
end if;
end process;
process(clk, sensor_deur)
begin
if rising_edge(clk) then
B <= (niveau_bestemming = niveau_aanvraag);
i <= '1';
if B then
beweging_deur <= "10"; -- deur gaat open
if (sensor_deur = "10") then -- deur helemaal open
beweging_deur <= "00"; -- deur stop
[color=brown]for (i < '200') then[/color]
i = i + '1';
end for;
end if;
[color=red]beweging_deur <= "01";[/color] -- deur gaat toe
if (sensor_deur = "00") then -- deur toe
beweging_deur <= "00"; -- deur stop
[color=red]for (i < '200') then[/color]
i = i + '1';
end for;
end if;
end if;
-- end if;
end if;
end process;
end architecture;
i have added the code in an attachement
thanks!!