Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Xilinx UltraController C Compiler ???

Status
Not open for further replies.

banjo

Advanced Member level 2
Advanced Member level 2
Joined
Dec 24, 2005
Messages
648
Helped
127
Reputation
254
Reaction score
8
Trophy points
1,298
Activity points
8,064
ultracontrollerâ„¢-ll

I found that Xilinx has an app note, XAPP575, for configuring an minimal resource controller using the PowerPC that is built into the Virtex2-Pro and some later FPGAs. This design does not use BlockRAM or external logic. Instead, it runs code entirely from cache memory within the processor block. This seems ideal for being projects were you need some simple PC interface to provide a test interface to your FPGA logic. Of course, cache size is limited, so the controller code cannot be too complex.

I downloaded the example files and they build fine on ISE9. However, my problem is that it requires EDK to compile the C code. Since the controller is SOOO simple, I do not need the full EDK. Since EDK contains the GNU compiler which is free, does anyone know how to configure it for simple projects like this? Are there any alternatives to EDK? I do not need the full IDE and I certainly to do need the $500 price tag.

Thanks.
 

I feel your pain. Some months ago I wandered down that rocky road without much success. Maybe you'll have better luck.

Do you have compiler binaries? The GCC source code is freely downloadable from Xilinx, but the EDK 8.2 version wouldn't compile using my MSYS/MinGW tools. I haven't tried compiling the EDK 9.1 version, I think it uses a newer version GCC.

However, I was partially successful simply copying the EDK 8.2 'gnu' and 'cygwin' subdirectories from another EDK installation. The compiler ran fine, but I wasn't successful figuring out a good linker script to compile a fully working project. I somehow managed to jam some compiled C code into my FPGA simulation, and I saw it execute, but the memory segments were wrong, and I didn't explore much further. Probably need to run a real EDK project, and then examine the generated linker script and maybe some other critical files.

EDK is a monstrosity - I really hate it. So many things happening behind the scenes, I feel like I'm no longer in control of my project.

Possible alternative is Macraigor's "PowerPC GNU Toolkit". I explored it a little, and it showed promise:
http://www.macraigor.com/full_gnu.htm

I don't use PowerPC anymore. I'll be using MicroBlaze in Virtex 5.
 

    banjo

    Points: 2
    Helpful Answer Positive Rating
Thanks. I will download the biniaries and see if I can build it. We use an AMCC PowerPC 405 in another project and build with the GCC compiler. Therefore, I may be able to discover some tricks from the linker scripts from that project.
 

I downloaded the source from Xilinx and did get it to compile. There were a few warnings at the end about not being able to remove directories, but overall I think it succeeded.

However, the show stopper is that Xilinx DOES NOT include the stand-alone BSP library. This library is supposed to contain low-level routines for accessing the internals of the PowerPC. I called the Xilinx Tech Line and was told that this is only supplied with EDK. All example files for the Ultra-Controller are referencing portions of this libary.

Therefore, while they have released this free reference design and do provide the source for the GNU compiler, it is still NOT useable unless you fork over $500 for EDK. What is especially annoying is how crappy the EDK package is reported to be. $500 buys lots of PICs or AVRs and the tools and libraries are free.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top