shaiko
Advanced Member level 5
Hello,
I have 2 input signals to my FPGA:
1. IN_CLOCK a 50MHz frequency clock signal with a 50% duty cycle.
2. IN_CONTROL synchronous to the IN_CLOCK and changes 4ns after the positive edge of IN_CLOCK.
The design requirement is to pass these signals through the FPGA without any logic or registers involved and maintain the same timing relation.
I'm trying to constrain my design - this is what I did so far:
Because IN_CONTROL is valid 4 ns after the edge of IN_CLOCK and my goal is to maintain the same ratio for the output - I figured out that 20ns - 4ns = 16ns is the correct number
Is it correct?
I have 2 input signals to my FPGA:
1. IN_CLOCK a 50MHz frequency clock signal with a 50% duty cycle.
2. IN_CONTROL synchronous to the IN_CLOCK and changes 4ns after the positive edge of IN_CLOCK.
The design requirement is to pass these signals through the FPGA without any logic or registers involved and maintain the same timing relation.
Code:
OUT_CLOCK <= IN_CLOCK ;
OUT_CONTROL <= IN_CONTROL ;
I'm trying to constrain my design - this is what I did so far:
Code:
create_clock -period 20 -name IN_CLOCK IN_CLOCK
set_input_delay -clock IN_CLOCK -max 4 [get_ports IN_CONTROL]
set_input_delay -clock IN_CLOCK -min 0 [get_ports IN_CONTROL]
set_output_delay -clock IN_CLOCK -max [COLOR="#FF0000"]16[/COLOR] [get_ports OUT_CONTROL]
set_output_delay -clock IN_CLOCK -min 0 [get_ports OUT_CONTROL]
Is it correct?