DNA2683
Advanced Member level 4
hi all
i build a project that have 1 PLL( via IP catalog), 1 counter, and a MUX.
i wrote a Top vhdl code ( for ll the connections between the components)- but im getting an error "
object "outclk_0" is used but not declared
outclk_0 - is the the PLL output pin.- i did declared the pll on the top...can someone help me ( i added my code)
i build a project that have 1 PLL( via IP catalog), 1 counter, and a MUX.
i wrote a Top vhdl code ( for ll the connections between the components)- but im getting an error "
object "outclk_0" is used but not declared
outclk_0 - is the the PLL output pin.- i did declared the pll on the top...can someone help me ( i added my code)
Code:
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY Top IS
PORT (
Clock_50_in : In std_logic;
KEY_1 : In std_logic;
KEY_0 : In std_logic;
result_out : out std_logic_vector(3 downto 0));
END Top;
ARCHITECTURE structural OF Top IS
Component counter
port(
clock_50 : in std_logic;
count : out std_logic_vector(31 downto 0)
);
End Component;
Component pll
port (
refclk : in std_logic := '0'; -- refclk.clk
rst : in std_logic := '0'; -- reset.reset
outclk_0 : out std_logic );
end Component;
Component muxl
PORT
(
data0x : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
data1x : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
sel : IN STD_LOGIC ;
result : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
);
end Component;
Signal S1 : std_logic_vector(31 downto 0);
Signal S2 : std_logic_vector(3 downto 0);
Signal S3 : std_logic_vector(3 downto 0);
Signal S4 : std_logic;
Signal S5 : std_logic;
BEGIN
U1: counter
Port Map (clock_50 => S5 ,
count => S1
);
U2: pll
Port Map (refclk => Clock_50_in,
rst => S4,
outclk_0 => S5
);
U3: muxl
Port Map (data0x => S2,
data1x => S3,
sel => KEY_0,
result => result_out
);
S2 <= S1(24 downto 21);
S3 <= S1(26 downto 23);
S4 <= not(KEY_1);
S5 <= outclk_0;
END structural ;