rezvania
Junior Member level 2
Hi. In VHDL code to assign a signal to output port, which one is better: Out of process or after than the signal update in process?
for example:
...
dout : out std_logic _vector(7 downto 0);
...
signal s1 : std_logic _vector(7 downto 0);
process(clk,rst)
begin
.
.
.
s1 <= s1 + '1' ;
? dout <= s1;
.
.
.
end process;
? dout <= s1;
for example:
...
dout : out std_logic _vector(7 downto 0);
...
signal s1 : std_logic _vector(7 downto 0);
process(clk,rst)
begin
.
.
.
s1 <= s1 + '1' ;
? dout <= s1;
.
.
.
end process;
? dout <= s1;