hassanzia
Junior Member level 3
Hi,
i'm unable to figure out why i'm getting the following error.
ERROR:Map:116 - The design is empty. No processing will be done.
ERROR:Map:52 - Problem encountered processing RPMs.
i'm unable to figure out why i'm getting the following error.
ERROR:Map:116 - The design is empty. No processing will be done.
ERROR:Map:52 - Problem encountered processing RPMs.
Code:
module AESEncryptor(InputPlainText, InputPassword, OutputCipherText, clk, rst_n
);
input clk,rst_n;
input [127:0] InputPlainText;
input [127:0] InputPassword;
output reg [127:0] OutputCipherText;
// Storing Values in RCON LUT
reg [7:0] RCON [0:9];
// PlainText stores the plain text as an 8x176 RAM
reg [7:0] PlainText [0:175];
// RoundKeys is the 8x176 RAM for storing the RoundKeys
reg [7:0] RoundKeys [0:175];
// tempRoundKey for storing a 4 byte number (in RoundKey process)
reg [7:0] tempRoundKey [0:3];
reg [7:0] CipherText [0:15];
integer i,j=0;
initial
begin
// initializing RCON register
RCON [0] = 8'h01; RCON [1] = 8'h02; RCON [2] = 8'h04; RCON [3] = 8'h08;
RCON [4] = 8'h10; RCON [5] = 8'h20; RCON [6] = 8'h40; RCON [7] = 8'h80;
RCON [8] = 8'h1b; RCON [9] = 8'h36;
end
always @ (posedge clk or negedge rst_n)
begin
if (!rst_n)
begin
for (i=0;i<16;i=i+1)
CipherText [i] = 0;
end
// storing password into 16x8 RAM
// storing the initial 16 bytes RoundKeys in a RAM
else
begin
// Storing the password as the first 16 bytes of Roundkey
// Converting the Input Plain Text into 8x16 bytes of RAM
for (i=0;i<16;i=i+1)
begin
RoundKeys [i] = InputPassword [(8*(i+1)-1)-:8];
PlainText [i] = InputPlainText [(8*(i+1)-1)-:8];
end
//*****************Initial Round****************
end
end
endmodule