guetguet
Member level 1
Hello everyone !
I have a serious problem for 2 hours ... I think the solution must be easy but I don't what to do. So the XST tool shows me a lot of warning. Several of them is due to a signal which is not connected according the XST Tool. But in my implementation, the signals which are unconnected according the XST tool are connected. Thus I don't understand why the XST detects those signals as not connected. Bellow is a part of the warnings and a part of my VHDL code included some signals detected as not connected :
Could anyone hyelp me ?
Thanks !
I have a serious problem for 2 hours ... I think the solution must be easy but I don't what to do. So the XST tool shows me a lot of warning. Several of them is due to a signal which is not connected according the XST Tool. But in my implementation, the signals which are unconnected according the XST tool are connected. Thus I don't understand why the XST detects those signals as not connected. Bellow is a part of the warnings and a part of my VHDL code included some signals detected as not connected :
WARNING:Xst:2677 - Node <VphaseAccumulator2_31> of sequential type is unconnected in block <SweepJammingDDSInst>.
WARNING:Xst:2677 - Node <invROM1Doutb> of sequential type is unconnected in block <SweepJammingDDSInst>.
WARNING:Xst:2677 - Node <VphaseAccumulator3_31> of sequential type is unconnected in block <SweepJammingDDSInst>.
WARNING:Xst:2677 - Node <VphaseAccumulator4_31> of sequential type is unconnected in block <SweepJammingDDSInst>.
WARNING:Xst:2677 - Node <invROM2Douta> of sequential type is unconnected in block <SweepJammingDDSInst>.
WARNING:Xst:2677 - Node <invROM2Doutb> of sequential type is unconnected in block <SweepJammingDDSInst>.
WARNING:Xst:2677 - Node <invROM1DoutbS1> of sequential type is unconnected in block <SweepJammingDDSInst>.
WARNING:Xst:2677 - Node <VphaseAccumulator_31> of sequential type is unconnected in block <SweepJammingDDSInst>.
Code:
signal VphaseAccumulator : std_logic_vector(31 downto 0);
signal VphaseAccumulator2 : std_logic_vector(31 downto 0);
signal VphaseAccumulator3 : std_logic_vector(31 downto 0);
signal VphaseAccumulator4 : std_logic_vector(31 downto 0);
signal invROM1Doutb : STD_LOGIC;
signal invROM2Douta : STD_LOGIC;
signal invROM2Doutb : STD_LOGIC;
signal invROM1DoutaS1 : STD_LOGIC;
signal invROM1DoutbS1 : STD_LOGIC;
....
....
process (RAZ, Clock250M)
begin
if rising_edge(Clock250M) then
if RAZAll = '1' then
VphaseAccumulator4 <= (others => '0');
cycle <= '1';
elsif enable = '1' and S_JammingInProgress = '1' then
VphaseAccumulator <= VphaseAccumulator4 + step;
if VphaseAccumulator(30) = '0' then
adrROMA1 <= VphaseAccumulator(29 downto 15);
else
adrROMA1 <= "111111111111111" - (VphaseAccumulator(29 downto 15));
end if;
invROM1Douta <= VphaseAccumulator(31);
VphaseAccumulator2 <= VphaseAccumulator4 + stepX2;
if VphaseAccumulator2(30) = '0' then
adrROMB1 <= VphaseAccumulator2(29 downto 15);
else
adrROMB1 <= "111111111111111" - (VphaseAccumulator2(29 downto 15));
end if;
invROM1Doutb <= VphaseAccumulator2(31);
Could anyone hyelp me ?
Thanks !