Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
Hello,
I am looking for a good book for starting to know Electronic Design from basics to advanced
Analog and Digital
Could you post me some reference.
It is for a beginner
Thank you in advance
Jose Miguel
Hello,
I want to realize an access control via contactless cards.
For that a use a ESP32 devkitC(38 pins), SPI interface and MFRC522. (I use Thonny Python IDE)
i tried different software found on gitHub :
1) The first
https://github.com/Lennyz1988/micropython-mfrc522/blob/master/mfrc522.py
It...
Hello everybody,
Does the Micropython code of BlueTooth ESP32 exist???
I have already found the Wifi MicroPython code for ESP32 (TechTo Tinker on Youtube)
Thank you in advance
Jose Miguel
Hello everybody,
I requested a trial version of the Tensilica’s Xtensa C/C++ compiler from Cadence named XCC.
Does a free gnu gcc/g++ compiler exists which compile LX6 processor from Tensilica as a target?
I want tu use Xtensa Xplorer IDE based on familiar Eclipse platform if it is possible...
Hello,
I want to make an headset with an ESP32XXXX (BLT and BLE).
For the moment, i have just bought an ESP-A1S development board from Ai-Thinker.
This board have an ESP32 and a AC101 codec from x-powers encapsulated into a metalic shield.
I don't succeed to have support x-powers.
I want to...
Hi,
Thank you so much, as i am a big beginner with Vivado, i forget to specify that the testbebch is the top level design.
Now i have the right functionnal simulation.
regards
jose Miguel
(now i need to learn about constraints)
hi guys,
here below the counter
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity count16 is
port(
CLK, nRESET, LOAD : in std_logic;
D : in std_logic_vector(3 downto 0);
Q : out std_logic_vector(3 downto 0));
end count16;
architecture archcount16 of count16 is...
Hi guys,
I would like to buy a development board to learn VHDL and Verilog, on a small target CPLD or FPGA.
Could you advice to me a developement board based on Xilinx or Altera even Lattice chip.
my budget is around 50€.
I already have bought microcontroller based board on ebay.
but as i am...
Hello,
Could anybody help me explaining the 4wires impedance measurement.
1) The resistor measurement is quite easy.
putting a DC current inside the resistor and measurind the voltage .
(instrumentation amplifier and voltage converter)
2) ??? for a impedance, putting I sqqrt(2) sin(wt) in...
Hi all,
My error was stupid, I created a ".c" project and not a ".cpp" project.
So, it was for this reason that the compiler was unable to compile the new prototype.
Thank you.
Jose Miguel.
Hi Alexan_e,
In fact i am a beginner with ATMEL Visual Studio and Arduino IDE.
I don't know the already existing libraries on AVR Studio.
My first look at this was that it seems not easy and it does not have so many job done
than in the Arduino IDE.
The other reason to port the Arduino...
Hi,
I am building a project on AVR Visual Studio 6.0 using all the macros, functions and methods from the Arduino IDE. (I am starting this port stuff)
When i use Serial.begin(9600) i must include the "Hardware_Serial.h", the
"stream.h", the "Print.h", the "WString.h" and the "Printable.h"...
Hi,
The solution is quite easy.
I the AVR Studio 6.0 put those files
in the src directory: wiring_digital.c
in the include directory:wiring_private.h, pins_arduino.h and Arduino.h
Thank you
Jose Miguel
Hi,
I don't find the library where the digitalWrite(led, HIGH) is defined.
I have only find the declaration of the prototype in Arduino.h.
I would like to make the software migration from the Arduino IDE (sketch) to AVR studio 6.0
Could you please help me.
Thank you.
José Miguel.
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.