Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by BilalM

  1. B

    Reading from external text file

    Thank you for your answer, is there any suggestion how can I write my own read procedure
  2. B

    Reading from external text file

    First of all, thank you so much for your help. I need to read data (0's and 1's) from an external file, even a (Matlab) or text file for my testbench. I tried to do so using the textio package, but I get this error "(vcom-1600) No feasible entries for subprogram "READ"" I am not expert in VHDL...

Part and Inventory Search

Back
Top