Enhancing RTL Synthesis with Physical Aware Synthesis Techniques


As an RTL Synthesis engineer in the integrated circuit (IC) industry, the adoption of Physical Aware Synthesis (PAS) is critical for achieving optimized performance, power, and area (PPA) metrics. This blog explores advanced PAS techniques, providing specific case studies and the latest cutting-edge technologies in digital design.

The Role of Physical Aware Synthesis​

Physical Aware Synthesis integrates physical design information into the synthesis process, allowing for more accurate predictions of timing, congestion, and power consumption. By incorporating physical constraints early, designers can achieve better correlation between the synthesized netlist and the final placed-and-routed design, reducing iterations and improving overall design efficiency.

Key Techniques in Physical Aware Synthesis​

1. Timing-Driven Synthesis
Timing-driven synthesis optimizes the design to meet timing constraints by considering the physical placement of cells and the interconnect delays.

Case Study:
A semiconductor company utilized Cadence Innovus for timing-driven synthesis, achieving significant improvements in runtime and timing accuracy compared to traditional flows. By integrating timing-aware placement and optimization, the design team achieved a 2X speed-up in runtime and more consistent timing closure results at the 7nm node.

Implementation:
Tool Integration
: Use tools like Cadence Innovus that support timing-driven synthesis.
Early Timing Analysis: Incorporate early timing analysis to guide placement and optimization decisions.

2. Congestion-Aware Synthesis
Congestion-aware synthesis minimizes routing congestion by distributing the placement of cells to avoid dense areas, thus improving routing feasibility and performance.

Case Study:
A network processor team applied Synopsys Design Compiler's congestion-aware synthesis capabilities to manage high-density regions in their design. By doing so, they reduced routing congestion, leading to fewer design iterations and improved timing performance.

Implementation:
Placement Optimization
: Utilize congestion-aware placement algorithms to distribute cells evenly.
Routing Analysis: Perform early routing analysis to identify and mitigate congested areas.

Emerging Strategies in Physical Aware Synthesis​

1. Power-Aware Synthesis
Power-aware synthesis aims to minimize power consumption by considering power constraints during the synthesis process. This approach is crucial for battery-powered and low-power applications.

Case Study:
A mobile processor design team used Mentor Graphics' Tessent Power for power-aware synthesis. By integrating power constraints early, they achieved significant reductions in dynamic and static power, enhancing the battery life of their mobile devices.

Implementation:
Multi-Vt Cells:
Use multi-threshold voltage (multi-Vt) cells to balance power and performance.
Clock Gating:Implement clock gating techniques to reduce dynamic power consumption.

2. Hierarchical Physical Synthesis
Hierarchical physical synthesis involves partitioning the design into smaller blocks, each optimized individually, before integrating them at the top level. This method improves manageability and scalability for large designs.

Case Study:
A design team at Broadcom adopted hierarchical physical synthesis using Synopsys' tools. By optimizing each block separately and then integrating them, they managed to maintain high performance and accuracy while reducing synthesis runtime and complexity.

Implementation:
Design Partitioning:
Divide the design into manageable blocks and optimize them individually.
Integration Flow:Ensure seamless integration of blocks at the top level for consistent results.
About author
With a wealth of experience in formal verification projects, I specialize in two critical solutions: formal signoff with full proof and formal signoff with coverage. Throughout my career, I have successfully tackled a diverse range of designs, including Instruction units, Standard interfaces, User-defined interfaces, Bus matrices, Caches, MMUs, Schedulers, DMA controllers, Memory controllers, Interrupt controllers, Power management units, and various specific functional modules.

Drawing on this extensive project experience and a deep understanding of various design types, I have developed a unique formal verification methodology. This methodology has been honed through practical application and has proven highly effective in ensuring design correctness and efficiency.

One of my key achievements has been the independent creation of a comprehensive formal verification IP library. This library comprises nearly 200 units, encompassing basic, common, VIP, and flow libraries. These resources, combined with my methodology, have been successfully deployed in the product development workflows of numerous leading chip companies. The results speak for themselves, with significant improvements in verification effectiveness and performance observed across the board.

My goal is to share this expertise with students at EDA Academy, providing them with practical insights and industry best practices that they can apply directly to their own projects. By imparting this knowledge, I aim to empower learners to achieve their verification goals with confidence and efficiency.

EDA Academy:https://www.eda-academy.com
Explore our current Formal Verification courses:
  1. Introduction to Formal Verification
  2. Formal Verification: SVA Coding
  3. Formal Verification: PSL Coding

Comments

There are no comments to display.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…